module mycir(a, b, f); input a, b; output f; wire m, n, p, q; assign m = ~a; assign n = ~b; assign p = ~ (m & n); assign q = a & b; assign f = p | q; endmodule `timescale 1ns / 1ns module mycir_tb; reg a, b; wire f; mycir g1 ( .a(a), .b(b), .f(f) ); //mycir g1( a, b, f); initial begin a = 0; b= 0; #10 a =0; b = 1; #10 a =1; b = 0; #10 a =1; b = 1; #10 $stop; end initial $monitor($time, "ns, a=%b, b=%b, f=%b", a, b, f); endmodule